Low temperature plasmas: fundamentals, technologies and techniques. Vol. 2 (Weinheim, 2008). - ОГЛАВЛЕНИЕ / CONTENTS
Навигация

 
Выставка новых поступлений  |  Поступления иностранных книг в библиотеки СО РАН : 2003 | 2006 |2008
ОбложкаLow temperature plasmas: fundamentals, technologies and techniques. Vol. 2 / ed. by Hippler R. et al. - 2nd ed. - Weinheim: Wiley-VCH; Chichester: John Wiley [distributor], 2008. - P. 410-891. - ISBN 978-3-527-40673-9
 

Оглавление / Contents
 
Volume 2

15    Atmospheric Pressure Glow Discharges .................... 411
      Alan Garscadden
15.1  Introduction ............................................ 411
15.2  Characteristics of the Atmospheric Pressure Glow
      Discharge ............................................... 412
15.3  Near Cathode Phenomena at Atmospheric Pressure .......... 418
15.4  Boundary Controlled Discharges .......................... 421
15.5  Glow-to-Arc Stabilization Approaches .................... 423
15.6  RF Excited Glow Discharges .............................. 427
15.7  Microwave Excited Atmospheric Glow Discharges ........... 429
15.8  Atmospheric Discharges Using Gas-Liquid Interface ....... 429
15.9  Miniature Boundary Controlled Discharges ................ 431
15.10 Applications ............................................ 431
15.11 Summary and Recommendations for Future Research ......... 433
15.12 References .............................................. 435

16   High-Pressure Plasmas: Dielectric-Barrier and Corona
     Discharges ............................................... 439
     Ulrich Kogelschatz and Jürgen Salge
16.1 Introduction ............................................. 439
16.2 Dielectric-Barrier Discharges ............................ 439
     16.2.1 Filamentary Discharges ............................ 440
            16.2.1.1 Electrode Configurations and Discharge
                     Evolution ................................ 440
            16.2.1.2 Microdischarge Properties ................ 443
            16.2.1.3 Ionization, Dissociation, and Ensuing
                     Plasma Chemistry ......................... 443
            16.2.1.4 Discharge Control ........................ 445
            16.2.1.5 Numerical Modeling ....................... 446
     16.2.2 Homogeneous Discharges ............................ 447
     16.2.3 Applications ...................................... 448
            16.2.3.1 Surface Treatment and Modification,
                     Coating .................................. 448
            16.2.3.2 Ozone Generation ......................... 450
            16.2.3.3 High-Power CO2 Lasers .................... 452
            16.2.3.4 Excimer Lamps ............................ 453
            16.2.3.5 Plasma Display Panels .................... 454
            16.2.3.6 Pollution Control ........................ 455
            16.2.3.7 Greenhouse Gas Mitigation ................ 455
16.3 Corona Discharges ........................................ 456
     16.3.1 Direct Current (dc) Discharges .................... 456
            16.3.1.1 Electrode Configurations, Properties,
                     and Discharge Evolution .................. 456
            16.3.1.2 Current-Voltage Relations and Power
                     Consumption .............................. 456
            16.3.1.3 Charging and Transport of Particles and
                     Droplets ................................. 457
     16.3.2 Pulsed Corona Discharges .......................... 457
     16.3.3 Applications ...................................... 458
            16.3.3.1 Electrostatic Precipitators .............. 458
            16.3.3.2 Pollution Control ........................ 459
16.3 References ............................................... 460

17   High-Pressure Microdischarges ............................ 463
     Kurt H. Becker and Karl H. Schoenbach
17.1 Introduction ............................................. 463
17.2 History of Microdischarges ............................... 463
     17.2.1 The Microhollow Cathode Discharge (MHCD) .......... 463
     17.2.2 The Capillary Plasma Electrode Discharge .......... 465
     17.2.3 Microplasmas for Chemical Analysis ................ 466
     17.2.4 Other Microdischarges ............................. 466
     17.2.5 The Cathode Boundary Layer Discharge .............. 468
17.3 Materials and Fabrication Techniques ..................... 469
17.4 Diagnostics of Microplasma and Microplasma Properties .... 471
     17.4.1 Modes of Microplasma Operation .................... 471
     17.4.2 Electron Temperature and Electron Energy
            Distribution ...................................... 473
     17.4.3 Electron Density .................................. 473
     17.4.4 Gas Temperature ................................... 474
     17.4.5 Microplasma Modeling .............................. 474
17.5 Applications of Microdischarges .......................... 475
     17.5.1 Microplasmas for Environmental Applications ....... 475
            17.5.1.1 Destruction of Volatile Organic
                     Compounds ................................ 476
            17.5.1.2 Detection of Trace Contaminants .......... 478
     17.5.2 Biological Applications of Microplasmas ........... 478
     17.5.3 Microdischarges as UV Radiation Sources ........... 480
     17.5.4 Microdischarges as Plasma Reactors ................ 482
     17.5.5 Microdischarges as Plasma Cathodes ................ 483
     17.5.6 Microplasmas for Gas and Surface Analysis ......... 484
            17.5.6.1 Gas Analysis ............................. 484
            17.5.6.2 Surface Treatment ........................ 486
17.6 Summary and Outlook ...................................... 487
17.7 References ............................................... 488

18   Materials Applications of High-Pressure Microplasmas ..... 495
     R. Mohan Sankaran and Konstantinos P. Giapis
18.1 Introduction ............................................. 495
18.2 Microdischarge Setup ..................................... 495
18.3 Properties of Microplasma Sources ........................ 497
     18.3.1 Current-Voltage Characteristics ................... 497
     18.3.2 Optical Emission Spectroscopy ..................... 500
18.4 Nonlithographic Etching of Silicon Substrates ............ 501
     18.4.1 Background ........................................ 501
     18.4.2 Pattern Filling: Design of a Stencil Mask ......... 503
     18.4.3 Etching Single Holes in Silicon ................... 504
     18.4.4 Etching Patterns .................................. 508
18.5 Thin Film Deposition ..................................... 508
     18.5.1 Background ........................................ 508
     18.5.2 Polycrystallinc Diamond Films ..................... 510
     18.5.3 Materials Characterization of Films ............... 512
18.6 Continuous Flow Microreactor Synthesis of
     Nanoparticles ............................................ 514
     18.6.1 Background ........................................ 514
     18.6.2 Aerosol Synthesis and Characterization ............ 525
18.7 Particle Charging ........................................ 527
     18.7.1 Materials Characterization of Silicon
            Nanoparticles ..................................... 528
     18.7.2 Photoluminescence Spectroscopy .................... 520
18.7 References ............................................... 522

19   Transient Plasma Ignition ................................ 525
     Charles Cathey and Martin Gundersen
19.1 Introduction ............................................. 525
19.2 Streamer Motivation ...................................... 526
     19.2.1 Power Modulator Technology for Generation of
            Transient Plasma .................................. 530
     19.2.2 Transient Plasma Combustion of Fuels in Constant
            Volume Chambers ................................... 531
19.3 Pulse Detonation Engine .................................. 533
19.4 Internal Combustion Engine Applications .................. 537
19.5 Transient Plasma Tgnition in High-Altitude, High-Speed
     Aircraft ................................................. 538
19.6 Summary .................................................. 540
19.7 References ............................................... 542

20   Transient Plasma-Assisted Diesel Exhaust Remediation ..... 543
     M. Gundersen, V. Puchkarev, A. Kharlov, G. Roth,
     J. Yampolsky, and D. Erwin
20.1 Introduction ............................................. 543
20.2 Experiment ............................................... 544
     20.2.1 Diesel Exhaust Treatment .......................... 544
     20.2.2 Laser-Induced Fluorescence (LIE) of NO/NOx ........ 545
20.3 Experimental Results ..................................... 545
     20.3.1 Pulsed Power and Plasma Formation ................. 545
     20.3.2 Time- and Space-Resolved NO/NOx Depletion ......... 547
     20.3.3 Plasma Chemistry .................................. 548
     20.3.4 Plasma-Assisted Catalyst .......................... 549
20.4 Summary .................................................. 549
20.5 References ............................................... 550

21   Plasma Display Panel ..................................... 551
     Jae Koo Lee and John P. Verboncoeur
21.1 Introduction and Overview ................................ 551
21.2 History and Background ................................... 552
21.3 Alternating Current Plasma Display Panel (AC-PDP) ........ 552
     21.3.1 The Plasma Discharge Driven by a High Voltage ..... 552
            21.3.1.1 Paschen's Law for Breakdown .............. 552
            21.3.1.2 Collisional Mean Free Paths .............. 555
     21.3.2 One-Dimensional AC-PDP Model ...................... 555
     21.3.3 Two-Dimensional AC-PDP Models ..................... 559
            21.3.3.1 The Matrix and the Surface Discharge
                     AC-PDP ................................... 559
            21.3.3.2 The Discharge Characteristics in
                     the AC-PDP Cell .......................... 560
     21.3.4 Driving Voltage for the AC-PDP .................... 562
     21.3.5 Research Status and Remaining Issues .............. 564
21.4 Other PDP Types .......................................... 565
21.5 Conclusions .............................................. 566
21.6 References ............................................... 567

22   Low-Pressure Discharge Light Sources ..................... 569
     Graeme Lister
22.1 Introduction ............................................. 569
22.2 The Physics of Low-Pressure Discharge Lamps .............. 571
     22.2.1 Collisional Processes ............................. 571
     22.2.2 Radiation Transport ............................... 577
     22.2.3 Ambipolar Diffusion and Cataphoresis .............. 572
     22.2.4 Power Balance ..................................... 572
22.3 Conventional (Electroded) Fluorescent Lamps .............. 573
     22.3.1 The Physics of Electroded Fluorescent Lamps ....... 573
     22.3.2 Diagnostics and Modeling of the Positive Column ... 575
     22.3.3 Diagnostics and Modeling of Electrode Regions ..... 578
22.4 Electrodeless Fluorescent Lamps .......................... 579
     22.4.1 Potential Benefits of Electrodeless Discharges
            for Lighting ...................................... 579
     22.4.2 Electromagnetic Interference and Safety ........... 580
     22.4.3 The Physics of Electrodeless Fluorescent Lamps .... 580
     22.4.4 Inductive Fluorescent Discharge Lamps ............. 580
            22.4.4.1 Reentrant Cavity Lamps ................... 581
            22.4.4.2 Lamps with Outer Coils ................... 582
            22.4.4.3 Toroidal Lamps ........................... 582
     22.4.5 Capacitively Coupled Fluorescent Lamps ............ 583
     22.4.6 Surface Wave Fluorescent Discharge Lamps .......... 584
     22.4.7 Diagnostics and Modeling of Elcctrodeless Lamps ... 584
22.5 Low-Pressure Sodium Lamps ................................ 586
22.6 Rare Gas Discharges for Lighting ......................... 587
22.7 Alternatives to Mercury .................................. 588
22.8 Conclusions .............................................. 590
22.9 References ............................................... 590

23   High-Pressure Plasma Light Sources ....................... 595
     Klaus Günther
23.1 Introduction and Basic Equations ......................... 595
23.2 Application Demands ...................................... 597
     23.2.1 Photometric Properties ............................ 597
     23.2.2 Operation Requirements ............................ 599
     23.2.3 Costs and Environmental Aspects ................... 599
23.3 High-Intensity Discharge (HID) Lamps and their
     Operational Principle .................................... 600
     23.3.1 The Plasma of HID Lamps ........................... 600
     23.3.2 High-Pressure Mercury (HPM) Lamps ................. 603
     23.3.3 Metal Halide (MH) Lamps ........................... 604
     23.3.4 High-Pressure Sodium (HPS) Lamps .................. 606
     23.3.5 Technical Applications ............................ 607
     23.3.6 New Developments .................................. 607
23.4 Lamp Operation ........................................... 609
     23.4.1 Starting of HID Lamps ............................. 609
     23.4.2 Conventional Operation ............................ 670
     23.4.3 Electronic Operation .............................. 610
            23.4.3.1 General Considerations ................... 610
            23.4.3.2 Electronic Control and New Discharge
                     Conditions ............................... 612
            23.4.3.3 Dimming of HID Lamps ..................... 615
23.5 Conclusions .............................................. 616
23.6 References ............................................... 616

24   EUV Light Sources ........................................ 619
     Larissa Juschkin, Günther Derra, and Klaus Bergmann
24.1 Introduction ............................................. 629
     24.1.1 General ........................................... 619
     24.1.2 EUV Lithography ................................... 620
     24.1.3 EUV Light Sources ................................. 624
24.2 Plasmas as EUV Radiators ................................. 625
24.3 Laser-Produced Plasmas for EUV Generation ................ 632
     24.3.1 Principles and Concepts ........................... 632
            24.3.1.1 Targets .................................. 634
            24.3.1.2 Lasers ................................... 634
     24.3.2 Technological Aspects and Current Status .......... 635
24.4 Discharge-Produced Plasmas for EUV Generation ............ 635
     24.4.1 Principles and Concepts ........................... 635
     24.4.2 Technological Aspects and Current Status .......... 638
24.5 System Integration ....................................... 642
     24.5.1 Debris Mitigation ................................. 642
     24.5.2 Collector ......................................... 645
24.6 Outlook .................................................. 648
24.7 References ............................................... 648

25   Plasma Etching in Microelectronics ....................... 655
     Harald H. Richter, Steffbn Marschmeyer, and André Wolff
25.1 Characterization of Plasma Etching ....................... 655
25.2 Etching Techniques ....................................... 657
     25.2.1 Physical Etching .................................. 658
     25.2.2 Chemical Etching .................................. 658
     25.2.3 Chemical-Physical Etching ......................... 659
25.3 Equipment-Related Topics ................................. 660
25.4 Etch Chemistries ......................................... 662
25.5 Dry Etching in Advanced Technologies
     (Selected Examples) ...................................... 663
     25.5.1 Silicon Dry Etching ............................... 664
            25.5.1.1 Trench Etching ........................... 664
            25.5.1.2 Polysilicon Gate Etching ................. 665
     25.5.2 Oxide Etch Processes .............................. 666
     25.5.3 Metal Etch ........................................ 667
25.6 Process Control .......................................... 667
25.7 Plasma-Process-Induced Damage ............................ 669
     25.7.1 Contamination Effects ............................. 670
     25.7.2 Charging Damage ................................... 670
25.8 Summary and Future Outlook ............................... 671
25.9 References ............................................... 672

26    Magnetron Discharges for Thin Film Deposition ........... 675
      Klaus Ellmer
26.1  Introduction ............................................ 675
26.2  Brief Historical Overview ............................... 675
26.3  Charges in a Magnetic Field ............................. 679
      26.3.1 Drift of Charges in Crossed ExB Fields ........... 681
26.4  Principle of a Magnetron Discharge ...................... 682
26.5  Types of Magnetron Discharges ........................... 684
26.6  Discharge Characteristics ............................... 687
26.7  Potential Distribution .................................. 689
26.8  Excitation of Magnetron Sources ......................... 691
26.9  Reactive Magnetron Sputtering ........................... 693
26.10 Self-Sputtering of Metals ............................... 693
26.11 Ionized Magnetron Sputtering ............................ 694
26.12 Magnetron Sputtering of Thin Films ...................... 695
      26.12.1 Metallic Films .................................. 696
      26.12.2 Oxidie Coatings ................................. 699
      26.12.3 Semiconducting Films ............................ 705
26.13 Industrial Magnetron Sputtering Systems ................. 708
26.14 Advantages and Limitations of Magnetron Sputtering
      Sources ................................................. 708
26.15 References .............................................. 709

27   Hollow Cathodes and Plasma Jets for Thin Film
     Deposition ............................................... 715
     Zdeněk Hubička
27.1 Introduction ............................................. 715
27.2 Direct Current (DC) Hollow Cathode Discharge ............. 715
27.3 Radiofrequency (RF) Hollow Cathode Discharge ............. 720
27.4 RF and DC Hollow Cathode Plasma Jet Systems for
     Low-Pressure PVD of Thin Films ........................... 722
27.5 DC and RF Hollow Cathode Characterization During PVD of
     Thin Films ............................................... 728
27.6 Multiplasma Jet System for Coatings of Higher Surfaces
     and Deposition of Alloys ................................. 730
27.7 Deposition of ferroelectric thin films by RF-modulated
     plasma jet systems ....................................... 733
27.8 Summary .................................................. 735
27.9 References ............................................... 735

28   Low-Temperature Plasmas for Polymer Surface
     Modification ............................................. 739
     Jürgen Meichsner
28.1 Introduction ............................................. 739
28.2 Low-Temperature Plasma and Plasma-Polymer Interaction .... 739
     28.2.1 Characterization of Low-Pressure Electric Gas
            Discharges ........................................ 739
     28.2.2 Plasma Species and Expected Effects in Polymer
            Surface treatment ................................. 744
     28.2.3 Methods for Characterization of Plasma-Treated
            Polymers .......................................... 746
     28.2.4 Polymer Samples and Thin Film Preparation ......... 748
28.3 Plasma Modification of Polyethylene and Polystyrene ...... 749
28.4 Plasma Modification of Wool and Cellulose Fabrics ........ 752
28.5 Summary .................................................. 755
28.6 References ............................................... 756

29   Plasma-Enhanced Deposition of Superhard Thin Films ....... 757
     Achim Lunk
29.1 Characterization of Superhard Materials .................. 757
29.2 Plasma-Enhanced Deposition of Diamond and Diamond-Like
     Carbon ................................................... 759
     29.2.1 Deposition of Diamond ............................. 760
     29.2.2 Plasma-Enhanced Deposition of Diamond-Like
            Carbon ............................................ 765
29.3 Plasma-Enhanced Deposition of Cubic Boron Nitride
     Films .................................................... 766
     29.3.1 Physical Vapor Deposition ......................... 769
            29.3.1.1 lon-Bearn Assisted Deposition (IBAD) ..... 769
            29.3.1.2 Plasma-Activated Reactive Evaporation
                     (PARE) ................................... 770
            29.3.1.3 Reactive Sputtering for c-BN Deposition
                     (RST) .................................... 773
            29.3.1.4 Plasma-Enhanced Laser Deposition ......... 776
     29.3.2 Plasma-Enhanced Chemical Vapor Deposition ......... 779
            29.3.2.1 Plasma-Enhanced Chemical Vapor
                     Deposition in Radiofrequency (rf)
                     Discharges ............................... 780
            29.3.2.2 Plasma-Enhanced Chemical Vapor
                     Deposition in ECR Discharges ............. 781
            29.3.2.3 Plasma-Enhanced Chemical Vapor
                     Deposition by Direct Current (dc)
                     Plasma jet ............................... 782
29.4 References ............................................... 782

30   Applications of Dusty Plasmas ............................ 787
     Rainer Hippler and Holger Kersten
30.1 Introduction ............................................. 787
30.2 Particle Synthesis in Acetylene Plasmas .................. 788
30.3 Coating of Powder Particles in a Combined
     Radiofrequency/Magnetron Discharge ....................... 792
30.4 Deposition of Protective Coatings onto Phosphore
     Particles ................................................ 794
30.5 Formation and Deposition of Nanosize Clusters on
     Surfaces ................................................. 796
30.6 References ............................................... 800

31   Plasma-Assisted Surface Modification of Biointerfaces .... 803
     Andreas Ohl and Karsten Schröder
31.1 Introduction ............................................. 803
31.2 Plasma Surface Fuctionalization for Cell Adhesion
     Improvement .............................................. 806
31.3 Plasma-Induced Surface Grafting of Biomolecules .......... 810
31.4 Plasma-Assisted Chemical Vapour Deposition for Coating
     of Biomedical Devices .................................... 813
     31.4.1 Functional Plasma Polymer Coatings ................ 813
     31.4.2 Plasma-Assisted Bioceramic Coating ................ 816
31.5 Conclusions .............................................. 817
31.6 References ............................................... 817

32   Cold-Plasma-Based Sterilization .......................... 821
     Mounir Laroussi
32.1 Introduction ............................................. 821
32.2 Low-Pressure Studies ..................................... 822
32.3 Cold Plasma Sources Used in Plasma-Based Sterilization ... 823
     32.3.1 The Dielectric Barrier Discharge (DBD) ............ 823
     32.3.2 The Atmospheric Pressure Plasma jet (APPJ)) ....... 824
     32.3.3 The Plasma Pencil ................................. 825
32.4 Kinetics of In activation and Inactivation Agents ........ 826
     32.4.1 Kinetics .......................................... 826
     32.4.2 Inactivation Agents ............................... 829
            32.4.2.1 Heat ..................................... 829
            32.4.2.2 Charged Particles ........................ 830
            32.4.2.3 Ultraviolet Radiation .................... 830
            32.4.2.4 Reactive Neutral Species ................. 831
32.5 Inactivation of Biofilms ................................. 833
32.6 Conclusions and Prospects ................................ 834
32.7 References ............................................... 835

33   Atmospheric Plasma: A Universal Tool for Physicians? ..... 837
     Eva Stoffels
33.1 Background ............................................... 837
33.2 How to Obtain? (Methods of Generation) ................... 839
33.3 How to Apply? (Various Effects on Living Subjects) ....... 844
     33.3.1 Lethal Effects .................................... 844
     33.3.2 Sublethal Effects ................................. 849
            33.3.2.1 Cell Detachment .......................... 855
            33.3.2.2 Apoptosis ................................ 858
     33.3.3 Comparison with Common Means ...................... 861
33.4 Concluding Remarks ....................................... 862
33.5 References ............................................... 862

34   Markets for Plasma Technology ............................ 865
     Klaus-Dieter Weltmann, Martin Schmidt, and Kurt Becker
34.1 Introduction ............................................. 865
34.2 Market Situation in Selected Areas ....................... 866
     34.2.1 Plasma Light Sources .............................. 866
     34.2.2 Environmental Applications ........................ 867
     34.2.3 Energy Generation and Energy Saving ............... 869
     34.2.4 Surface Treatment Technology ...................... 870
     34.2.5 Information Technology ............................ 870
            34.2.5.1 Chip Production .......................... 871
            34.2.5.2 Optical Storage Media .................... 871
            34.2.5.3 Flat Panel Displays ...................... 871
     34.2.6 Mechanical Engineering ............................ 872
     34.2.7 Medical Technique, Biotechnology, and Pharmacy .... 873
     34.2.8 Textile Industry .................................. 873
     34.2.9 Thrusters ......................................... 874
34.3 New Markets .............................................. 874
34.4 Conclusions .............................................. 877
34.5 References ............................................... 878

     Index .................................................... 881


 
Выставка новых поступлений  |  Поступления иностранных книг в библиотеки СО РАН : 2003 | 2006 |2008
 

[О библиотеке | Академгородок | Новости | Выставки | Ресурсы | Библиография | Партнеры | ИнфоЛоция | Поиск]
  Пожелания и письма: branch@gpntbsib.ru
© 1997-2024 Отделение ГПНТБ СО РАН (Новосибирск)
Статистика доступов: архив | текущая статистика
 

Документ изменен: Wed Feb 27 14:52:28 2019. Размер: 30,668 bytes.
Посещение N 2312 c 26.04.2010